------------------------------------------------------------------------------- -- Title : Bluetooth components package -- Project : Bluetooth baseband core ------------------------------------------------------------------------------- -- File : components_pkg.vhd -- Author : Jamil Khatib (khatib@ieee.org) -- Organization: OpenIPCore Project -- Created : 2000/12/08 -- Last update : 2000/12/08 -- Platform : -- Simulators : Modelsim 5.3XE/Windows98 -- Synthesizers: Leonardo/WindowsNT -- Target : -- Dependency : ieee.std_logic_1164 ------------------------------------------------------------------------------- -- Description: bluetooth baseband core components package ------------------------------------------------------------------------------- -- Copyright (c) 2000 Jamil Khatib -- -- This VHDL design file is an open design; you can redistribute it and/or -- modify it and/or implement it after contacting the author -- You can check the draft license at -- http://www.opencores.org/OIPC/license.shtml ------------------------------------------------------------------------------- -- Revisions : -- Revision Number : 1 -- Version : 0.1 -- Date : 8 dec 2000 -- Modifier : Jamil Khatib (khatib@ieee.org) -- Desccription : Created -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package components_pkg is component crc_gen_ent port ( clk : in std_logic; -- system clock rst : in std_logic; -- system reset en : in std_logic; -- System enable and load new Init value DataIn : in std_logic; -- Input data stream DataOut : out std_logic; -- Output Data stream Init : in std_logic_vector(15 downto 0)); -- LFSR LSB init values end component; end components_pkg;